CADENCE DESIGN SYSTEMS ENCOUNTER CONFORMAL ECO DESIGNER Datasheet

Download Datasheet of Cadence ENCOUNTER CONFORMAL ECO DESIGNER Other for Free or View it Online on All-Guides.com.

Cadence ENCOUNTER CONFORMAL ECO DESIGNER Datasheet - Page 1
1
Cadence ENCOUNTER CONFORMAL ECO DESIGNER Datasheet - Page 2
2
Cadence ENCOUNTER CONFORMAL ECO DESIGNER Datasheet - Page 3
3
Cadence ENCOUNTER CONFORMAL ECO DESIGNER Datasheet - Page 4
4
DATASHEET
Cadence
®
Encounter
®
Conformal
®
ECO Designer enables
designers to implement RTL engineering change orders
(ECOs) for pre- and post-mask layout. It combines automatic
ECO analysis and design netlist modification with world-class
equivalence checking to provide superior performance,
productivity, capacity, and ease-of-use.
management recognize ECOs as a time of
high stress, long work hours, and uncer-
tainty. Even if the logic change is imple-
mented in the netlist, there might not be
enough spare gates on the mask to imple-
ment the change.
A better method is needed for implement-
ing ECOs. Available flows for processing
ECOs may remove some of the uncer-
tainty of whether the product will work,
but they are still a manual process that
typically requires many cycles to achieve
correct implementation. And once the
ECO is logically implemented in the
netlist, there is no guarantee if the change
can be implemented in the physical
netlist. If the ECO were implemented with
only metal-layer changes, the cost would
be greatly reduced. Having this early
knowledge of implementability, a design
team can change plans and target work-
able solutions rather than wasting time in
failed attempts and extended schedules.
ENCOUNTER
CONFORMAL
ECO DESIGNER
Cadence offers a complete ECO solution
that spans different parts of the RTL-to-
GDS flow to achieve the highest quality
ECOs. This solution consists of Encounter
Conformal ECO Designer coupled with
the Cadence SoC Encounter™ RTL-to-
GDSII System. This combined solution
brings automation and predictability to
the ECO process.
Encounter Conformal ECO Designer is a
unique technology that offers functional
ECO analysis, optimization, and genera-
tion capability. It combines proven equiva-
lence checking and functional checks, and
uses formal techniques to analyze and
implement the functional ECO. Encounter
Conformal ECO Designer is available in
two configurations: an XL package, which
targets primarily the pre-mask ECO flow;
and a GXL package, which additionally
targets the post-mask ECO flow using
metal-only layers and spare gates.
ENCOUNTER CONFORMAL
ECO DESIGNER
ENCOUNTER
CONFORMAL
TECHNOLOGY
To shorten overall design-cycle times and
minimize silicon re-spins, designers need
production-proven validation. Encounter
Conformal verification technologies
offer the most comprehensive solutions
for equivalence checking, design con-
straint management, functional ECO
analysis and generation, and low-power
design verification.
ENGINEERING
CHANGE ORDERS
Engineering change orders (ECOs) have a
wide variety of implementations that range
from adding or removing logic in a design
to more subtle changes such as cleaning
up routing for signal integrity. A logical
change to a design netlist is referred to as
functional ECO while all other changes are
classified as non-functional. All ECOs are
focused on delivering products to market
as fast as possible with minimal risk to
correctness and schedule. Engineering and